Welcome![Sign In][Sign Up]
Location:
Search - vxworks end

Search list

[Other resourcevxworks的END驱动概述

Description: vxworks的END驱动概述-VxWorks END drive outlined
Platform: | Size: 139319 | Author: none | Hits:

[Other resourceend

Description: 操作系统vxworks平台下end设备的驱动程序,支持多种芯片,支持多种cpu
Platform: | Size: 600291 | Author: erter | Hits:

[VxWorksvxworks的END驱动概述

Description: vxworks的END驱动概述-VxWorks END drive outlined
Platform: | Size: 139264 | Author: | Hits:

[VxWorksVxWorksENDDri

Description: VxWorks系统CS网卡END驱动(源码)-VxWorks system CS LAN END drive (FOSS)
Platform: | Size: 45056 | Author: 布知道 | Hits:

[Embeded-SCM DevelopVxWorksenDri

Description: 这是一个在VxWorks系统实现CS网卡END驱动的原代码 --Implement CS network card END driving on VxWorks system.
Platform: | Size: 39936 | Author: 钟林桂 | Hits:

[VxWorksCSNetCardENDDriverForVxWorks

Description: VxWorks系统CS网卡END驱动(源码).zip -VxWorks system CS LAN END drive (FOSS). ZipVxWorks System C S card END drive (FOSS). zip
Platform: | Size: 45056 | Author: qinbo | Hits:

[VxWorkstempleEND

Description: VxWorks中网络驱动开发中的END驱动程序模版 VxWorks 、BSP、END、网络、模版-VxWorks Network Driver Development of driver template END VxWorks, BSP, END, networks, templates
Platform: | Size: 8192 | Author: 刘玉彬 | Hits:

[Software Engineeringbootrom

Description: 在Wind River公司开发的VxWorks嵌入式操作系统平台上,改进交换机产品bootrom软件的实现方式;给出实现方法和改进目的,为BSP开发人员提供一套全新的bootrom实现方案。-companies in the development of Wind River's VxWorks embedded operating system platform. Improved switching products bootrom Software Implementation; given method and improve the end, BSP developers to provide a new set of bootrom proposed.
Platform: | Size: 122880 | Author: 王琪 | Hits:

[VxWorkszxshj

Description: vxworks 在线升级内核的驱动程序,api,和pc机端的用户界面升级程序(vc6.0编写)-vxworks online upgrade the kernel of the driver, api. and pc-end user interface promotion procedures (vc6.0 preparation)
Platform: | Size: 971776 | Author: | Hits:

[Software EngineeringEND_vxworks

Description: 文中介绍了在自制最小系统开发板上Vxworks嵌入式操作系统的END的开发过程,这个方法也实用于其他嵌入式硬件平台的Vxworks网络驱动程序的开发。-text of the smallest self-made systems development board Vxworks Embedded operating system open END the process, this method can also be applied to other embedded hardware platforms Vxworks Network Driver Development Program.
Platform: | Size: 184320 | Author: 刘军 | Hits:

[VxWorksend

Description: 操作系统vxworks平台下end设备的驱动程序,支持多种芯片,支持多种cpu-End under the VxWorks operating system platform device driver to support a variety of chips, supports a wide range of cpu
Platform: | Size: 600064 | Author: erter | Hits:

[VxWorksdm9000e_for_magicarm2200

Description: dm9000e网卡芯片在周立功的MagicARM2200实验箱上的基于VxWorks的END网卡驱动。自己调试修改的。-chip card dm9000e meritorious service in the weeks of the experiment MagicARM2200 me on the END card based on the VxWorks driver. Debug their own changes.
Platform: | Size: 27648 | Author: maqi | Hits:

[VxWorksVxWorksBSDDri

Description: CIRRUS LAN(tm) CS8900 VxWORKS MUX-Based ENHANCED NETWORK DRIVER (END)
Platform: | Size: 37888 | Author: 方帆 | Hits:

[VxWorksVxWorksENDDri

Description: Vxworks编程下的END网络驱动,用于编写Vxworks BSP。-Vxworks programming under the END network drive, used in the preparation of Vxworks BSP.
Platform: | Size: 43008 | Author: you | Hits:

[VxWorksgppve_3_5_wrSbc8548_2_0_3

Description: MPC8548 SBC开发板基于VXworks的 BSP资料,比较全,可以直接在SBC开发板上运行-this version of BSP will update the following driver files as well as the BSP. target/config/comps/vxWorks/11rapidio.cdf target/h/drv/end/gei82543End.h target/src/drv/end/gei82543End.c target/src/hwif/busCtlr/m85xxRio.c target/src/hwif/vxbus/usrRapidIOBus.c Pre-Installation Requirement: 1) You should consider backing up gei82543End.h/gei82543End.c/m85xxRio.c so you can undo the install if necessary. Post Installation Steps: 1) For project builds to work you should close Workbench if you are using it. Next remove the file $WIND_BASE/target/config/comps/vxWorks/CxrCat.txt . You may now restart Workbench and create vxWorks image projects based on the new BSP. 2) A build of the updated gei82543End driver is necessary. In the vxWorks Development Shell, go to $WIND_BASE/target/src/drv/end . Run the following command: make CPU=PPC32 TOOL=sfdiab The gei82543End driver that was installed should be archived into the libdrv.a librar
Platform: | Size: 12461056 | Author: 唐震宇 | Hits:

[VxWorksConfigurationofVxWorks

Description: VxWorks网络驱动配置及分析 VxWorks支持两种形式的网络驱动,一种是BSD驱动支持通用的BSD4.4网络,API,结构等和大多数BSD网络的驱动类似.另一种是END网络驱动,是VxWorks独有的,根据VxWorks MUX接口编程,不过END驱动在底层也要转换成BSD的形式.-Configuration of VxWorks network driver
Platform: | Size: 9216 | Author: cgb | Hits:

[VxWorksend

Description: vxworks-6.7 net driver
Platform: | Size: 661504 | Author: yang | Hits:

[Windows Developcdm99000e_forh

Description: dm9000e网卡芯片在周立功的MagicARM2200实验箱上的一种基于于VxWorks的END网卡驱动driver。自己调试修改的。 已通过测试。 -the dm9000e card chip on the the ZLG MagicARM2200 experimental box based on the VxWorks END network card driver driver. Own debug modify. Has been tested.
Platform: | Size: 32768 | Author: victim | Hits:

[VxWorksend.tar

Description: NFSDLIB.H typedef struct llHdrInfo { int destAddrOffset /* destination addr offset in mBlk */ int destSize /* destination address size */ int srcAddrOffset /* source address offset in mBlk */ int srcSize /* source address size */ int ctrlAddrOffset /* control info offset in mBlk */ int ctrlSize /* control info size */ int pktType /* type of the packet */ int dataOffset /* data offset in the mBlk */ } LL_HDR_INFO typedef struct llHdrInfo { int destAddrOffset /* destination addr offset in mBlk */ int destSize /* destination address size */ int srcAddrOffset /* source address offset in mBlk */ int srcSize /* source address size */ int ctrlAddrOffset /* control info offset in mBlk */ int ctrlSize /* control info size */ int pktType /* type of the packet */ int dataOffset /* data offset in the mBlk */ } LL_HDR_INFO -vxworks NFSDLIB.H typedef struct llHdrInfo { int destAddrOffset /* destination addr offset in mBlk*/ int destSize /* destination address size*/ int srcAddrOffset /* source address offset in mBlk*/ int srcSize /* source address size*/ int ctrlAddrOffset /* control info offset in mBlk*/ int ctrlSize /* control info size*/ int pktType /* type of the packet*/ int dataOffset /* data offset in the mBlk*/ } LL_HDR_INFO
Platform: | Size: 8192 | Author: liaozhigao | Hits:

[VxWorksend-1.1.8.0

Description: vxworks 高版本的网络驱动,包括end,mii等各种型号芯片的驱动程序-vxworks end driver
Platform: | Size: 505856 | Author: liht | Hits:
« 12 »

CodeBus www.codebus.net